order_bg

නිෂ්පාදන

XC7K420T-2FFG901I – ඒකාබද්ධ පරිපථ, කාවැද්දූ, ක්ෂේත්‍ර ක්‍රමලේඛනය කළ හැකි ද්වාර අරා

කෙටි විස්තරය:

Kintex®-7 FPGAs -3, -2, -1, -1L, සහ -2L වේග ශ්‍රේණි වලින් ලබා ගත හැකි අතර, -3 ඉහළම කාර්ය සාධනයක් ඇත.-2L උපාංග අඩු උපරිම ස්ථිතික බලය සඳහා තිරගත කර ඇති අතර -2 උපාංගවලට වඩා අඩු ගතික බලයක් සඳහා අඩු හර වෝල්ටීයතාවයකින් ක්‍රියා කළ හැක.-2L කාර්මික (I) උෂ්ණත්ව උපාංග VCCINT = 0.95V දී පමණක් ක්රියාත්මක වේ.-2L විස්තීර්ණ (E) උෂ්ණත්ව උපාංග VCCINT = 0.9V හෝ 1.0V වලදී ක්‍රියා කළ හැක.-2LE උපාංග VCCINT = 1.0V, සහ -2LI උපාංග VCCINT = 0.95V දී ක්‍රියාත්මක වන විට, -2 වේග ශ්‍රේණියට සමාන වේග පිරිවිතරයන් ඇත, සඳහන් කර ඇති ස්ථාන හැර.-2LE උපාංග VCCINT = 0.9V හි ක්‍රියාත්මක වන විට, වේග පිරිවිතර, ස්ථිතික බලය සහ ගතික බලය අඩු වේ.-1L මිලිටරි (M) උෂ්ණත්ව උපාංගවලට -1 මිලිටරි උෂ්ණත්ව උපාංගවලට සමාන වේග පිරිවිතර ඇති අතර අඩු උපරිම ස්ථිතික බලය සඳහා තිරගත වේ.


නිෂ්පාදන විස්තර

නිෂ්පාදන ටැග්

නිෂ්පාදන ගුණාංග

TYPE නිදර්ශනය කරන්න
වර්ගය ඒකාබද්ධ පරිපථ (ICs)Embedded

ක්ෂේත්‍ර වැඩසටහන්ගත කළ හැකි ද්වාර අරා (FPGAs)

නිෂ්පාදක AMD
මාලාවක් කින්ටෙක්ස්®-7
එතුම තැටි
නිෂ්පාදන තත්ත්වය ක්රියාකාරී
DigiKey වැඩසටහන්ගත කළ හැකි ය සත්‍යාපනය කර නැත
LAB/CLB අංකය 32575
තාර්කික මූලද්‍රව්‍ය/ඒකක ගණන 416960
මුළු RAM බිටු ගණන 30781440
I/Os ගණන 380
වෝල්ටීයතාව - බල සැපයුම 0.97V ~ 1.03V
ස්ථාපන වර්ගය මතුපිට මැලියම් වර්ගය
මෙහෙයුම් උෂ්ණත්වය -40°C ~ 100°C (TJ)
පැකේජය/නිවාස 900-BBGA, FCBGA
විකුණුම්කරු සංරචක ආවරණය කිරීම 901-FCBGA (31x31)
නිෂ්පාදන ප්රධාන අංකය XC7K420
TYPE නිදර්ශනය කරන්න
වර්ගය ඒකාබද්ධ පරිපථ (ICs)Embedded

ක්ෂේත්‍ර වැඩසටහන්ගත කළ හැකි ද්වාර අරා (FPGAs)

නිෂ්පාදක AMD
මාලාවක් කින්ටෙක්ස්®-7
එතුම තැටි
නිෂ්පාදන තත්ත්වය ක්රියාකාරී
DigiKey වැඩසටහන්ගත කළ හැකි ය සත්‍යාපනය කර නැත
LAB/CLB අංකය 32575
තාර්කික මූලද්‍රව්‍ය/ඒකක ගණන 416960
මුළු RAM බිටු ගණන 30781440
I/Os ගණන 380
වෝල්ටීයතාව - බල සැපයුම 0.97V ~ 1.03V
ස්ථාපන වර්ගය මතුපිට මැලියම් වර්ගය
මෙහෙයුම් උෂ්ණත්වය -40°C ~ 100°C (TJ)
පැකේජය/නිවාස 900-BBGA, FCBGA
විකුණුම්කරු සංරචක ආවරණය කිරීම 901-FCBGA (31x31)
නිෂ්පාදන ප්රධාන අංකය XC7K420

FPGAs

වාසි
FPGA වල වාසි පහත පරිදි වේ:
(1) FPGAs තාර්කික සෛල, RAM, ගුණක යනාදී දෘඪාංග සම්පත් වලින් සමන්විත වේ. මෙම දෘඩාංග සම්පත් තාර්කිකව සංවිධානය කිරීමෙන්, ගුණක, රෙජිස්ටර්, ලිපින උත්පාදක යනාදී දෘඪාංග පරිපථ ක්රියාත්මක කළ හැකිය.
(2) සරල ද්වාර පරිපථවල සිට FIR හෝ FFT පරිපථ දක්වා බ්ලොක් රූප සටහන් හෝ Verilog HDL භාවිතයෙන් FPGAs නිර්මාණය කළ හැක.
(3) FPGAs අසීමිත ලෙස නැවත ක්‍රමලේඛනය කළ හැකි අතර, නව නිර්මාණ විසඳුමක් මිලි තත්පර සිය ගණනකින් පූරණය කරයි, දෘඪාංග පොදු කාර්ය අඩු කිරීම සඳහා ප්‍රතිමානකරණය භාවිතා කරයි.
(4) FPGA හි ක්‍රියාකාරී සංඛ්‍යාතය FPGA චිපය මෙන්ම සැලසුම මගින් තීරණය කරනු ලබන අතර, යම් යම් ඉල්ලුම් අවශ්‍යතා සපුරාලීම සඳහා වේගවත් චිපයක් වෙනස් කිරීමට හෝ ප්‍රතිස්ථාපනය කිරීමට හැකිය (කෙසේ වෙතත්, ඇත්ත වශයෙන්ම, මෙහෙයුම් සංඛ්‍යාතය අසීමිත නොවේ. වැඩි කළ යුතුය, නමුත් වත්මන් IC ක්‍රියාවලි සහ අනෙකුත් සාධක මගින් පාලනය වේ).
අවාසි
FPGAs හි අවාසි පහත පරිදි වේ:
(1) FPGAs සියලු කාර්යයන් සඳහා දෘඪාංග ක්‍රියාත්මක කිරීම මත රඳා පවතින අතර කොන්දේසි සහිත පැනීම් අතු බෙදීම වැනි මෙහෙයුම් ක්‍රියාත්මක කළ නොහැක.
(2) FPGA වලට ක්‍රියාත්මක කළ හැක්කේ ස්ථාවර ලක්ෂ්‍ය මෙහෙයුම් පමණි.
සාරාංශයක් ලෙස: FPGAs සියලු කාර්යයන් ක්‍රියාත්මක කිරීම සඳහා දෘඩාංග මත රඳා පවතින අතර වේගය අනුව කැප වූ චිප්ස් සමඟ සැසඳිය හැක, නමුත් සාමාන්‍ය කාර්ය සකසනයන්ට සාපේක්ෂව සැලසුම් නම්‍යශීලීතාවයේ විශාල පරතරයක් ඇත.

භාෂා සහ වේදිකා සැලසුම් කරන්න

වැඩසටහන්ගත කළ හැකි තාර්කික උපාංග යනු EDA තාක්ෂණය හරහා ඉලෙක්ට්‍රොනික යෙදුම්වල ස්ථාපිත කාර්යයන් සහ තාක්ෂණික පිරිවිතරයන් සංයුක්ත කරන දෘඪාංග වාහකයන් වේ.FPGAs, මෙම මාර්ගය ක්‍රියාත්මක කරන ප්‍රධාන ධාරාවේ උපාංගවලින් එකක් ලෙස, සෘජුවම පරිශීලක-නැඹුරු, අතිශයින්ම නම්‍යශීලී සහ බහුකාර්ය, භාවිතයට පහසු, සහ දෘඪාංග තුළ පරීක්ෂා කිරීමට සහ ක්‍රියාත්මක කිරීමට ඉක්මන් වේ.
දෘඪාංග විස්තර භාෂාව (HDL) යනු ඩිජිටල් තාර්කික පද්ධති සැලසුම් කිරීමට සහ ඩිජිටල් පරිපථ විස්තර කිරීමට භාවිතා කරන භාෂාවකි, සාමාන්යයෙන් භාවිතා වන ප්රධාන ඒවා වන්නේ VHDL, Verilog HDL, System Verilog සහ System C වේ.
සර්ව වටේ දෘඪාංග විස්තර භාෂාවක් ලෙස, ඉතා අධිවේගී සමෝධානික පරිපත දෘඪාංග විස්තර භාෂාව (VHDL) විශේෂිත දෘඩාංග පරිපථයෙන් ස්වාධීන වීම සහ පුළුල් පරාසයක විස්තර කිරීමේ හැකියාවේ වාසි සහිතව සැලසුම් වේදිකාවෙන් ස්වාධීන වීමේ ලක්ෂණ ඇත. විශේෂිත උපාංග මත රඳා පවතී, සහ දැඩි හා සංක්ෂිප්ත කේතයකින් සංකීර්ණ පාලන තර්කනය සැලසුම් කිරීම විස්තර කිරීමට ඇති හැකියාව යනාදිය බොහෝ EDA සමාගම් විසින් සහාය දක්වන අතර ඉලෙක්ට්‍රොනික නිර්මාණ ක්ෂේත්‍රයේ බහුලව භාවිතා වේ.බහුලව භාවිතා වේ.
VHDL යනු පරිපථ නිර්මාණය සඳහා ඉහළ මට්ටමේ භාෂාවක් වන අතර අනෙකුත් දෘඪාංග විස්තර භාෂා සමඟ සසඳන විට, එය සරල භාෂාවක ලක්ෂණ, නම්‍යශීලීභාවය සහ උපාංග නිර්මාණයෙන් ස්වාධීන වීම, එය EDA තාක්ෂණය සඳහා පොදු දෘඪාංග විස්තර භාෂාවක් බවට පත් කර EDA තාක්ෂණය වැඩි කරයි. නිර්මාණකරුවන්ට ප්රවේශ විය හැකිය.
Verilog HDL යනු ආකෘති නිර්මාණය, සංස්ලේෂණය සහ සමාකරණය ඇතුළු දෘඪාංග සැලසුම් ක්‍රියාවලියේ බහු අවධිවල භාවිතා කළ හැකි බහුලව භාවිතා වන දෘඪාංග විස්තර භාෂාවකි.
Verilog HDL වාසි: C වලට සමාන, ඉගෙනීමට පහසු සහ නම්‍යශීලී.විෂය සංවේදී.ලිවීමේ උත්තේජක සහ ආකෘති නිර්මාණයේ වාසි.අවාසි: සම්පාදනය කිරීමේදී බොහෝ දෝෂ හඳුනාගත නොහැක.
VHDL වාසි: දැඩි වාක්‍ය ඛණ්ඩය, පැහැදිලි ධුරාවලිය.අවාසි: දිගු හුරුපුරුදු කාලය, ප්රමාණවත් තරම් නම්යශීලී නොවේ.
Quartus_II මෘදුකාංගය යනු Altera විසින් සංවර්ධනය කරන ලද සම්පූර්ණ බහු-වේදිකා සැලසුම් පරිසරයක් වන අතර, විවිධ FPGAs සහ CPLDs හි සැලසුම් අවශ්‍යතා සපුරාලිය හැකි අතර, චිප් ක්‍රමලේඛගත කළ හැකි පද්ධති නිර්මාණය සඳහා පුළුල් පරිසරයකි.
Vivado Design Suite, 2012 දී FPGA වෙළෙන්දා Xilinx විසින් නිකුත් කරන ලද ඒකාබද්ධ නිර්මාණ පරිසරයකි. එයට ඉහළ ඒකාබද්ධ නිර්මාණ පරිසරයක් සහ පද්ධතියේ සිට IC මට්ටම දක්වා නව පරම්පරාවේ මෙවලම් ඇතුළත් වේ, සියල්ල බෙදා හදාගත හැකි දත්ත ආකෘතියක් සහ පොදු දෝශ නිරාකරණ පරිසරයක් මත ගොඩනගා ඇත.Xilinx Vivado Design Suite මඟින් නිර්මාණ සඳහා පහසුවෙන් යෙදිය හැකි FIFO IP මධ්‍යයන් සපයයි.


  • කලින්:
  • ඊළඟ:

  • ඔබගේ පණිවිඩය මෙහි ලියා අප වෙත එවන්න